CMOS-Prozesstechnik 1 nm im Blick: Hochtechnologie für tiefe Kontakte auf Nano-Chipstrukturen

Von Michael Eckstein

Anbieter zum Thema

Auf dem Weg zum 1-nm-Technologieknoten stoßen bislang bewährte Verfahrensschritte in der Halbleiterfertigung an ihre Grenzen. Zum Beispiel die Kupfer-Dual-Damascene-Integration zum Kontaktieren der aktiven Schichten eines Chips. Das Imec hat einen potenziellen Nachfolger für die Metallisierung auf Basis von Ruthenium entwickelt.

Selbstausgerichtetes Via entlang Mx (links) und quer zu Mx (rechts). Die X-TEMs zeigen selbstausgerichtete Vias, die auf Ru-Linien mit 18 nm Pitch landen.
Selbstausgerichtetes Via entlang Mx (links) und quer zu Mx (rechts). Die X-TEMs zeigen selbstausgerichtete Vias, die auf Ru-Linien mit 18 nm Pitch landen.
(Bild: Imec)

Vielschichtig: Bei der Prozesstechnik haben im Wortsinn winzige Optimierungen oft enorme Auswirkungen auf die Leistungsfähigkeit des Gesamtkonstrukts, sprich: der integrierten Schaltung. Sie sind zudem die Voraussetzung für die Evolution der Prozesstechnik hin zu Technologieknoten von 1 nm – und darüber hinaus. Seit mehr als 20 Jahren ist die Kupfer-Dual-Damascene-Integration (siehe Kasten unten) in der Chipfertigung das Arbeitspferd für den Aufbau zuverlässiger elektrischer Verbindungen bei der Hochintegration auf CMOS-Substraten.

Wenn jedoch die Skalierung der Strukturen voranschreitet und die Metallabstände kleiner als 20 nm werden, stößt das Verfahren mehr und mehr an technologische Grenzen: Der unerwünschte, also parasitäre Kapazitätsanteil im Back-End-of-Line (BEOL, siehe Anmerkung unten) steigt unweigerlich auf Werte, die die elektrischen Signale erheblich beeinflussen. Das Widerstandskapazitätsprodukt (RC) begrenzt die mögliche Schaltfrequenzen und führt zu Signalverzögerungen. Wissenschaftler suchen daher bereits seit einigen Jahren nach alternativen Integrationsschemata und Metallen, die bei sehr engen Metallabständen bessere Performance-Werte aufweisen.

Bildergalerie

Praktikable Alternative für das Arbeitspferd der Chipfertigung

Vor etwa fünf Jahren schlug das Imec-Forschungs- und Innovationsinstitut Semi-Damascene als praktikable Alternative zu Cu-Dual-Damascene zunächst für die Integration der kritischsten lokalen (Mx) Verbindungsschichten der 1-nm-Technologieknoten (und darüber hinaus) vor. Neuste Ergebnisse haben Gayle Murdoch, leitende technische Mitarbeiterin am Imec, und Zsolt Tokei, Imec Fellow und Program Director Nano-Interconnects, auf dem 2022 IEEE VLSI Symposium on Technology and Circuits (VLSI 2022) Ende Juni präsentiert.

Ziel der vorgestellten Technologie ist es, den Anstieg des Widerstands-Kapazitäts-Faktors (RC) zukünftiger Verbindungen durch direkte Metallstrukturierung einzudämmen. Die Demonstration des Imec hat nach Angaben des Instituts erstmals experimentell gezeigt, dass die semi-damaszenische Verarbeitung von Ruthenium (Ru) mit einem hohen Seiten- beziehungsweise Aspektverhältnis von AR=6 zu einer Reduzierung des Widerstands um etwa 40 % gegenüber AR=3 führt – ohne dass dabei mehr Fläche benötigt wird. Zusätzliche Simulationen bestätigen laut Tokei die Vorteile auf Schaltungsebene in Kombination mit Luftspalten als Dielektrikum.

Eine ergänzende experimentelle Studie zeige außerdem, dass die Zuverlässigkeit von Semi-Damascene mit Airgaps im Vergleich zu Dual-Damascene mit Low-k-Dielektrika vergleichbar ist. Hinzu kommt laut Tokei, dass der Semi-Damascene-Flow mit Luftspalt zuverlässig ist und eine Lebensdauer der Schaltung von mehr als 10 Jahren ermöglicht.

Einführung der Semi-Damascene-Integration in die Back-End-of-Line-Roadmap

Im Gegensatz zum Dual-Damascene-Verfahren beruht die Semi-Damascene-Integration auf der direkten Strukturierung des Verbindungsmetalls zur Herstellung der Leitungen (sogenannte subtraktive Metallisierung). Es ist kein chemisch-mechanisches Polieren (CMP) des Metalls zur Vervollständigung des Prozessablaufs erforderlich. Die Durchkontaktierungen, die die nachfolgenden Verbindungsschichten miteinander verbinden, werden nach dem Prinzip des Single-Damascene-Verfahrens strukturiert, dann mit Metall gefüllt und überfüllt – das heißt, dass die Metallabscheidung fortgesetzt wird, bis eine Metallschicht über dem Dielektrikum entsteht.

Diese Metallschicht wird dann maskiert und geätzt, um die zweite Verbindungsschicht zu bilden. Nach der Metallstrukturierung können die Lücken zwischen den Leitungen mit einem Dielektrikum aufgefüllt oder zur Bildung von (partiellen) Luftspalten in den lokalen Schichten verwendet werden. Wie beim herkömmlichen Dual-Damascene-Ansatz werden bei einem Semi-Damascene-Flow zwei Schichten (Via und Top-Metall) in einem Durchgang gebildet. Dies macht die Semi-Damascene im Vergleich zur Dual-Damascene effektiv kostengünstiger.

Vorteile einer Semi-Damascene-Integrationsfolge

Semi-Damascene verspricht mehrere Vorteile gegenüber Cu-Dual-Damascene bei engen Metallabständen. Zsolt Tokei erklärt: „Erstens ermöglicht es höhere Leitungsquerschnitte bei gleichzeitiger Kontrolle der Kapazität, was insgesamt einen RC-Vorteil verspricht. Zweitens führt das Fehlen eines Metall-CMP-Schrittes zu einem vereinfachten und kostengünstigeren Integrationsschema. Schließlich erfordert die Semi-Damascene-Integration ein barrierefreies, strukturierbares Metall wie Wolfram (W), Molybdän (Mo) oder Ruthenium (Ru).

Jetzt Newsletter abonnieren

Verpassen Sie nicht unsere besten Inhalte

Mit Klick auf „Newsletter abonnieren“ erkläre ich mich mit der Verarbeitung und Nutzung meiner Daten gemäß Einwilligungserklärung (bitte aufklappen für Details) einverstanden und akzeptiere die Nutzungsbedingungen. Weitere Informationen finde ich in unserer Datenschutzerklärung.

Aufklappen für Details zu Ihrer Einwilligung

Durch die Verwendung von Metallen, die im Gegensatz zu Cu keine Metallbarriere benötigen, kann der wertvolle leitende Bereich vollständig durch das Verbindungsmetall selbst genutzt werden. Dies gewährleistet einen wettbewerbsfähigen Durchgangswiderstand bei skalierten Abmessungen. Neben den Vorteilen gibt es natürlich zahlreiche Herausforderungen zu bewältigen, bevor sich ein solches System in der Industrie durchsetzen kann. Ein Schritt in diese Richtung ist die tatsächliche Demonstration eines Zwei-Metall-Schemas. Während die Vorteile bisher nur durch Simulation und Modellierung aufgezeigt wurden, hat Imec zum ersten Mal einen experimentellen Nachweis mit einem Zwei-Metall-Level-Halb-Damascene-Modul erbracht.

Vollständig selbstausrichtende Durchkontaktierung – ein kritischer Baustein

Bei Metallabständen von nur 20 nm ist die kontrollierte Landung der Vias (Durchkontaktierungen) auf den schmalen Leitungen der Schlüssel zum erfolgreichen Einsatz des Semi-Damascene-Integrationsmoduls. Wenn das Via und die Leitungen (sowohl am oberen als auch am unteren Ende des Vias) nicht richtig ausgerichtet sind, besteht die Gefahr von Leckagen zwischen dem Via und einer benachbarten Leitung. Diese Leckagepfade sind das Ergebnis eines zu großen Überlagerungsfehlers, der durch die konventionelle Strukturierung der kleinen Via-Löcher verursacht wird.

Gayle Murdoch, leitende technische Mitarbeiterin bei Imec: „Einen Weg zu finden, um funktionale, vollständig selbst ausgerichtete Durchkontaktierungen herzustellen, war ein heiliger Gral des Semi-Damascene-Prozesses. Wir haben diesen Meilenstein durch intensive Zusammenarbeit zwischen den Integrations-, Lithographie-, Ätz- und Reinigungsgruppen am Imec erreicht. Mit unserem vollständig selbstausgerichteten Integrationsschema konnten wir Überlagerungsfehler von bis zu 5 nm kompensieren – ein wichtiger Erfolg.“

Die untere Selbstausrichtung wurde durch die selektive Entfernung von Siliziumnitrid nach dem Füllen der Lücken sichergestellt, so dass sich das Via an den Grenzen der unteren Metalllinie bilden konnte. Die Selbstausrichtung zur oberen Metallschicht (Ru) wurde durch den Ru-Überätzungsschritt erreicht, der nach dem Via-Overfill und der Ru-Strukturierung erfolgte.

Geringer Widerstand und Zuverlässigkeit bei 18 nm Pitch

Die Anwendung des subtraktiven Ätzens von Ru mit vollständig selbstausgerichteten Durchkontaktierungen führte zu einem funktionsfähigen Bauteil mit zwei Metallebenen und einem Metallabstand von nur 18 nm. Die EUV-Lithografie (Extrem Ultraviolett) in Kombination mit der selbstausrichtenden Doppelstrukturierung (SADP) wurde für die Strukturierung der 9 nm breiten unteren lokalen Ru-Verbindungslinie (Mx) verwendet, während die EUV-Lithografie mit Einzelbelichtung für den Druck der oberen Schicht (Mx+1) und des Durchgangs verwendet wurde. Das obere Metall wurde mit Luftspalten kombiniert, um der Kapazitätserhöhung entgegenzuwirken.

Beim Vergleich des Leitungswiderstands mit der leitenden Fläche von Ru und Cu zeigt sich, dass Ru bei den Zielmetallabständen deutlich besser abschneidet als Cu. Die Selbstausrichtung der Vias konnten die Forscher sowohl morphologisch als auch elektrisch bestätigen. Der Via-Widerstand liegt zwischen 40 und 60 Ω für 26-18nm Metallabstand, die Durchbruchfeldstärke vom Via zur Leitungsschicht bei > 9 MV/cm.

„Semi-Damascene ist eine würdige Alternative zu Dual-Damascene“

Zsolt Tokei freut sich: „Wir haben hervorragende Werte für alle wichtigen technischen Parameter, einschließlich Durchgangs- und Leitungswiderstand und Zuverlässigkeit, nachgewiesen.“ Dies zeige, dass Semi-Damascene eine würdige Alternative zu Dual-Damascene für die Integration der ersten drei lokalen Verbindungsebenen bei einem 1-nm-Technologieknoten sei – und darüber hinaus. „Unser Zwei-Metall-Level-Bauelement mit vollständig selbstausrichtendem Via hat sich als ein wichtiger Baustein erwiesen.“

Weitere Verbesserungen seien möglich, indem das Seitenverhältnis der Leitungen erhöht wird (was den Widerstand reduziert), während die Luftspalte beibehalten werden (was die Kapazität unter Kontrolle hält). Gleichzeitig hat Imec konkrete Ideen für die Implementierung von Middle-of-Line- (MOL) und BEOL-Technologie-Boostern unter Verwendung der Semi-Damascene-Technologie, die eine weitere Flächenreduzierung auf Standardzellenebene ermöglichen soll.

Was ist Damascene-Integration?

„Damascening“ oder deutsch „Damaszenierung“ bezeichnet ursprünglich eine antike Verzierungstechnik: Bei dieser auch Tauschierung genannten Technik wird metallisches Material in Vertiefungen eingebracht, die zuvor in das zu verzierende Objekt eingearbeitet wurden – beispielsweise einen Dolch.

In der CMOS-Prozesstechnik gibt es bei der Herstellung von integrierten Schaltkreisen (Mikrochips) vergleichbare Verfahrensschritte, weshalb man den Namen auch dafür verwendet: Hier werden Löcher oder Bahnen in zuvor aufgebrachte Isolationsschichten geätzt, die dann mit einem leitfähigen Material gefüllt werden. So entsteht eine vertikale Durchkontaktierung (auch Via genannt) zu darunterliegenden Schichten, etwa Leiterbahnen oder Transistoren. Angesichts der winzigen Dimensionen von wenigen Nanometern haben die Eigenschaften der eingesetzten Materialien massiven Einfluss auf die Leistungsfähigkeit der gesamten Schaltung.

Das heute meistverwendete Dual-Damascene-Verfahren ist eine Weiterentwicklung des einfachen Damascene-Prozesses, bei dem meist Kupfer (Cu) für die Metallisierungsebene zum Einsatz kommt. Der Zusatz Dual rührt daher, dass durch eine Folge mehrerer Verfahrensschritte Leiterbahnen und Vias quasi in einem Zug realisiert werden.

Durch das verwendete Material, die Höhe und Breite der Vias sowie deren Abstand zueinander lassen sich die elektrischen Eigenschaften dieser essenziellen Building Blocks von integrierten Schaltungen gezielt beeinflussen. Durch die zunehmende Miniaturisierung steigt der Einfluss parasitärer Effekte – etwa von Kapazitäten – und wird zunehmend zum Problem.

Anmerkung

1. BEOL steht für „back end of line“ und bezeichnet den letzten Abschnitt in der Halbleiterfertigung, also die obersten Schichten ab der Abscheidung der metallischen Zwischenschichten bis zur Fertigstellung.

2. FEOL steht für „Front End of Line“ und bezeichnet den ersten Abschnitt in der Halbleiterfertigung, in dem die Bauelemente im Substrat dotiert und strukturiert werden, bevor die metallischen Verbindungsschichten aufgebracht werden.

(ID:48462397)